新起点
IEEE 802.1Q
2020-06-11 08:34:59

IEEE 802.1Q以及VLAN Tagging属于互联网下IEEE 802.1的标准规范,允许多个网桥在信息不被外泄的情况下公开的共享同一个实体网络。IEEE 802.1Q -英文缩写写为dot1q,经常在实现以太网封装协议的架构下被提及。

IEEE 802.1Q定义一个关于VLAN连接介质访问控制层和IEEE 802.1D生成树协议的具体概念模型。这个模型允许各个独立的VLAN以交换机的数据链路层或路由器互相连接。

以VLAN的架构而言,可以想像一个公司的IT部门希望能够只使用一个实体企业网络端以提供个别独立的逻辑网络端,其他每个部门能够分配到一个独特的VLAN网络。企业网络中的Edge switch用来替所有从设备仪器中送抵各个部门的数据帧(Data frames),插入适合的VLAN标记。当帧(frames)在网络中被交换时,VLAN标签会在被送回部门底下的设备时被移除。用这种方法信息在被传到其它部门时就不会外泄或被嗅探。

802.1Q 并非实际封入原始帧中。相反,在以太网帧格式里,在MAC地址源与以太网类型/长度的原始帧里添加一个32位的域(field)。VLAN标签领域必须遵守下列格式:

使用IEEE 802.2/SNAP涵盖了一组值为00-00-00的OUI域(所以SNAP信头的协议ID域属于乙太形式)。在以太网以外的情况下,SNAP信头的乙太形式数值被设为0x8100且前述的额外4个比特会被附加在SNPA开头之后。

因为插入VLAN标签会改变帧,在乙太外挂里802.1Q概括(encapsulation)会强迫检验原始的帧检查序列(Frame Check Sequence, FCS)域。这同时也会增加帧4位组的上限容量。

双重标记(IEEE 802.1ad)对于互联网提供者(ISP)是非常有用的。他允许当已被VLAN标签的混合数据从客户端提交时ISP仍能在内部使用VLAN。外部(outer, next to Source MAC and represening ISP VLAN)标签会先于内部(inner)标签。此时,一个可变的TPID在16进制值可能为9100、9200或是9300,通常作为外部标签;然而在值为88a8时会违反802.1ad而无法作为外部标签。

三重标记 也同样可行。12位的VID延伸出48位的目的与源地址成66比特。中间3比特的PCP域可作为虚拟TTL或Hop-Count以确保数据包不会成为无限循环;更复杂的形式则是使其中一比特超载成为ingress-egress的编码形式。PCP域的末三码用来替文件内容分层,从000 NR至111 XXX。在Payload段落找到的CFI3比特被组合并编码成Next Header(或是协议),仅有其中两码会被使用而产生4个协议,。NOP, No Protocol:用作IP byte/管流(pipe streams)中微小的Payload段落。ICMP供做控制用途。UDP增加端口数量,是一个从IP提交的半冗沉(semi-redundant)即可选择的校验和。ENCAP协议或是Payload形是允许前述所有的协议,不需序文就可以封中;其中内容必须包含CRC\FCS标签。TTL和长度(length)被置于48位的地址域前让硬件优先读取以减少延迟。

1998 802.1Q标准定义封装协议的第9项,借由加入VLAN标签可使复数VLAN透过一个链接多路复用,然而他却能够发送所有帧不论是否已被标记。因此,为了能够识别所发送的帧是否需要标签,有些厂商(尤其是思科系统)经常将中继端口以及原生VLAN的想法应用在中继器上。

中继端口的想法基于设计一个中继端口,他能够接收并转送帧。若中继端口接收到未标签的帧,此帧就会链接这个端口与原生VLAN。

假设有个802.1Q的端口有VLAN2、3、4,且VLAN2被当作原生VLAN,则在VLAN2中的帧会在不带有802.1Q信头的情况下离开前述的端口(它们属于普通的以太网)。;在此帧未带802.1Q信头时进入端口会被放入VLAN2里。与VLAN3和VLAN4的信息流行为是可预测的,也就是说送抵VLAN3和4的帧是带有标记以识别他们,而离开VLAN3和4的帧也会携带各自的VLAN标签。

然而并不是所有的厂商都会使用这样的概念。

另外,IEEE 802.1Q定义了多重VLAN注册协议(Mutiple VLAN Registration Protocol, MVRP),它可应用于桥接器在具体网络上与VLAN的沟通。

在2007年,MVRP和IEEE 802.1ak-2007修正案取代了速度较慢的GARP VALN注册协议(GVRP)。

2003年时推行了多重生成树协议(Mutiple Spanning Tree Protocol, MSTP)并定义了原始的IEEE 802.1s


相关:

网站公告: